C64 sur FPGA

un pet, un vic, un 64...

Modérateur : Politburo

Répondre
Dar
Fonctionne à 75 bauds
Fonctionne à 75 bauds
Messages : 13
Enregistré le : 15 janv. 2010 22:54

C64 sur FPGA

Message par Dar »

C64 sur FPGA pour kit de développement Terasic DE0 nano, DE1 et DE2 code source disponible sur sourceforge
Principales caractéristiques :
  • Standard vidéo commutable 15KHz(TV) / 31Khz(VGA)
  • Mode C64 commutable PAL(50Hz) / NTSC(60Hz)
  • Clavier PS2 avec émulation de joystick port A / B
  • Sortie son SID6581 sur WM8731 (DE1/DE2) ou PWM (DE0)
  • Bus IEC bus pour lecteur de disquette 1541 ou replacement moderne sur carte SD (uIEC/SD)
  • Kernel JiffyDos
Autres infos sur http://darfpga.blogspot.fr
Torlus
Administrateur
Administrateur
Messages : 1266
Enregistré le : 15 oct. 2005 22:33
Contact :

Re: C64 sur FPGA

Message par Torlus »

Joli ;)
J'ai quelques trucs dans le même style, si tu veux regarder: https://github.com/Torlus
"Pour finir, faut commencer."
"Il faut être un peu félé pour laisser passer la lumière".
Dar
Fonctionne à 75 bauds
Fonctionne à 75 bauds
Messages : 13
Enregistré le : 15 janv. 2010 22:54

Re: C64 sur FPGA

Message par Dar »

Torlus a écrit :J'ai quelques trucs dans le même style, si tu veux regarder: https://github.com/Torlus
Wow, super le design PCE, je vais prendre le temps de lire le blog.txt ça a l'air très instructif. J'ai remarqué un répertoire sopc_builder est-ce que tu l'as utilisé ?
Torlus
Administrateur
Administrateur
Messages : 1266
Enregistré le : 15 oct. 2005 22:33
Contact :

Re: C64 sur FPGA

Message par Torlus »

J'avais commencé une version avec chargement des ROMs depuis la carte SD d'où le sopc_builder. Mais je ne sais pas si c'est complet, il faut que je regarde.
"Pour finir, faut commencer."
"Il faut être un peu félé pour laisser passer la lumière".
Répondre

Retourner vers « Commodore 8bits »